FPGA

FPGAで遊ぶ日記(1)

コンピュータという謎の匣の仕組みが気になって気になって狂ってしまいそうな仕方が無い人はまずプログラミングという狂気にとりつかれます。そしてある程度C言語やあるいはもっと上位の言語をいじったり、x86とかMIPSやH8などの機械語も手をつけたりします…

test